2007-05-10 Stefan Jahn <stefan@lkcc.org>

* dialogs/librarydialog.cpp (slotNext): Library creation now
        includes file handling.  Separate subcircuit files (VHDL, Verilog,
        Qucs-Subcircuit, SPICE) used by library elements are stored in an
        extra sub-directory.

        * schematic_file.cpp (giveNodeNames): Saving included files in a
        different manner in the global list.
        (createSubNetlistPlain): Allow subcircuit files to be stored in a
        dedicated file.  Used during library file creations.

        * main.cpp (properAbsFileName, properFileName): Added two new
        helper functions for file name mangling.

2007-05-10  Stefan Jahn  <stefan@lkcc.org>

        * qucslib.cpp (slotShowComponent): Allow also VHDL and Verilog
        entries to define a model.  Fixed drag'n'drop ability for digital
        only models.

2007-05-10  Stefan Jahn  <stefan@lkcc.org>

        * vhdlfile.cpp (getSubcircuitFile), verilogfile.cpp
        (getSubcircuitFile), subcircuit.cpp (netlist), spicefile.cpp
        (recreateSubNetlist): Using new file name mangling functions.

        * libcomp.cpp (loadSection): Additionally loading the file include
        references.
        (createSubNetlist): Also put file includes into the netlist
        stream.
This commit is contained in:
ela 2007-05-10 21:54:06 +00:00
parent 9b2135d7d2
commit c9a549b860
39 changed files with 998 additions and 217 deletions

View File

@ -1,3 +1,9 @@
2007-05-10 Stefan Jahn <stefan@lkcc.org>
* qucslib.cpp (slotShowComponent): Allow also VHDL and Verilog
entries to define a model. Fixed drag'n'drop ability for digital
only models.
2007-03-07 Stefan Jahn <stefan@lkcc.org>
* library/OpAmps.lib: Added Mike's opamp models.

View File

@ -376,6 +376,33 @@ void QucsLib::slotShowComponent(QListBoxItem *Item)
Symbol->createSymbol(LibName, Item->text());
}
if(Symbol->ModelString.isEmpty()) {
Start = (*CompString).find("<VHDLModel>");
if(Start > 0) {
Start += 7+4;
End = (*CompString).find("</VHDLModel>", Start);
if(End < 0) {
QMessageBox::critical(this, tr("Error"), tr("Library is corrupt."));
return;
}
Symbol->ModelString =
(*CompString).mid(Start, End-Start).replace(QRegExp("\\n\\x20+"), "\n").remove(0, 1);
}
}
if(Symbol->ModelString.isEmpty()) {
Start = (*CompString).find("<VerilogModel>");
if(Start > 0) {
Start += 7+7;
End = (*CompString).find("</VerilogModel>", Start);
if(End < 0) {
QMessageBox::critical(this, tr("Error"), tr("Library is corrupt."));
return;
}
Symbol->ModelString =
(*CompString).mid(Start, End-Start).replace(QRegExp("\\n\\x20+"), "\n").remove(0, 1);
}
}
Start = (*CompString).find("<Symbol>");
if(Start > 0) {

View File

@ -1,3 +1,18 @@
2007-05-10 Stefan Jahn <stefan@lkcc.org>
* dialogs/librarydialog.cpp (slotNext): Library creation now
includes file handling. Separate subcircuit files (VHDL, Verilog,
Qucs-Subcircuit, SPICE) used by library elements are stored in an
extra sub-directory.
* schematic_file.cpp (giveNodeNames): Saving included files in a
different manner in the global list.
(createSubNetlistPlain): Allow subcircuit files to be stored in a
dedicated file. Used during library file creations.
* main.cpp (properAbsFileName, properFileName): Added two new
helper functions for file name mangling.
2007-05-09 Stefan Jahn <stefan@lkcc.org>
* qucs_uk.ts, qtgeneric_uk.ts: Updated Ukrainian translations.

View File

@ -1,3 +1,14 @@
2007-05-10 Stefan Jahn <stefan@lkcc.org>
* vhdlfile.cpp (getSubcircuitFile), verilogfile.cpp
(getSubcircuitFile), subcircuit.cpp (netlist), spicefile.cpp
(recreateSubNetlist): Using new file name mangling functions.
* libcomp.cpp (loadSection): Additionally loading the file include
references.
(createSubNetlist): Also put file includes into the netlist
stream.
2007-05-09 Stefan Jahn <stefan@lkcc.org>
* libcomp.cpp: Enabled library component to emit analog as well as

View File

@ -60,6 +60,7 @@ public:
bool mirroredX; // is it mirrored about X axis or not
int rotated; // rotation angle divided by 90 degrees
virtual QString getSubcircuitFile() { return ""; }
QPtrList<Line> Lines;
QPtrList<struct Arc> Arcs;

View File

@ -88,7 +88,8 @@ void LibComp::createSymbol()
// ---------------------------------------------------------------------
// Loads the section with name "Name" from library file into "Section".
int LibComp::loadSection(const QString& Name, QString& Section)
int LibComp::loadSection(const QString& Name, QString& Section,
QStringList *Includes)
{
QDir Directory(QucsSettings.LibDir);
QFile file(Directory.absFilePath(Props.first()->Value + ".lib"));
@ -132,6 +133,24 @@ int LibComp::loadSection(const QString& Name, QString& Section)
if(End < 0) return -6; // file corrupt
Section = Section.mid(Start, End-Start+1);
// search model includes
if(Includes) {
int StartI, EndI;
StartI = Section.find("<"+Name+"Includes");
if(StartI >= 0) { // includes found
StartI = Section.find('"', StartI);
if(StartI < 0) return -10; // file corrupt
EndI = Section.find('>', StartI);
if(EndI < 0) return -11; // file corrupt
StartI++; EndI--;
QString inc = Section.mid(StartI, EndI-StartI);
QStringList f = QStringList::split("\" \"", inc);
for(QStringList::Iterator it = f.begin(); it != f.end(); ++it ) {
Includes->append(*it);
}
}
}
// search model
Start = Section.find("<"+Name+">");
if(Start < 0) return -7; // symbol not found
@ -140,6 +159,8 @@ int LibComp::loadSection(const QString& Name, QString& Section)
while(Section.at(++Start) == ' ') ;
End = Section.find("</"+Name+">", Start);
if(End < 0) return -9; // file corrupt
// snip actual model
Section = Section.mid(Start, End-Start);
return 0;
}
@ -201,46 +222,56 @@ int LibComp::loadSymbol()
}
// -------------------------------------------------------
bool LibComp::createSubNetlist(QTextStream *stream)
QString LibComp::getSubcircuitFile()
{
int r;
QString FileString;
r = loadSection("Model", FileString);
if(r < 0) return false;
(*stream) << "\n" << FileString << "\n";
return true;
QDir Directory(QucsSettings.LibDir);
QString FileName = Directory.absFilePath(Props.first()->Value);
return properAbsFileName(FileName);
}
// -------------------------------------------------------
bool LibComp::createSubNetlist_Verilog(QTextStream *stream)
bool LibComp::createSubNetlist(QTextStream *stream, QStringList &FileList,
int type)
{
int r;
int r = -1;
QString FileString;
r = loadSection("VerilogModel", FileString);
QStringList Includes;
if(type&1) {
r = loadSection("Model", FileString, &Includes);
} else if(type&2) {
r = loadSection("VHDLModel", FileString, &Includes);
} else if(type&4) {
r = loadSection("VerilogModel", FileString, &Includes);
}
if(r < 0) return false;
(*stream) << "\n" << FileString << "\n";
return true;
}
// -------------------------------------------------------
bool LibComp::createSubNetlist_VHDL(QTextStream *stream)
{
int r;
QString FileString;
r = loadSection("VHDLModel", FileString);
if(r < 0) return false;
// also include files
int error = 0;
for(QStringList::Iterator it = Includes.begin();
it != Includes.end(); ++it ) {
QString s = getSubcircuitFile()+"/"+*it;
if(FileList.findIndex(s) >= 0) continue;
FileList.append(s);
// load file and stuff into stream
QFile file(s);
if(!file.open(IO_ReadOnly)) {
error++;
} else {
QByteArray FileContent = file.readAll();
file.close();
stream->writeRawBytes(FileContent.data(), FileContent.size());
}
}
(*stream) << "\n" << FileString << "\n";
return true;
return error > 0 ? false : true;
}
// -------------------------------------------------------
QString LibComp::createType()
{
QString Type = Props.first()->Value;
if(!QDir::isRelativePath(Type)) {
QFileInfo Info(Type);
Type = Info.fileName();
}
QString Type = properFileName(Props.first()->Value);
return properName(Type + "_" + Props.next()->Value);
}

View File

@ -27,9 +27,8 @@ public:
~LibComp() {};
Component* newOne();
bool createSubNetlist(QTextStream*);
bool createSubNetlist_Verilog(QTextStream*);
bool createSubNetlist_VHDL(QTextStream*);
bool createSubNetlist(QTextStream*, QStringList&, int type=1);
QString getSubcircuitFile();
protected:
QString netlist();
@ -39,7 +38,7 @@ protected:
private:
int loadSymbol();
int loadSection(const QString&, QString&);
int loadSection(const QString&, QString&, QStringList* i=0);
QString createType();
};

View File

@ -263,7 +263,8 @@ bool SpiceDialog::loadSpiceNetList(const QString& s)
connect(QucsConv, SIGNAL(readyReadStdout()), SLOT(slotGetNetlist()));
connect(QucsConv, SIGNAL(readyReadStderr()), SLOT(slotGetError()));
QMessageBox *MBox = new QMessageBox(tr("Info"), tr("Converting ..."),
QMessageBox *MBox = new QMessageBox(tr("Info"),
tr("Converting \"%1\".").arg(FileInfo.filePath()),
QMessageBox::NoIcon, QMessageBox::Abort,
QMessageBox::NoButton, QMessageBox::NoButton, this, 0, true,
Qt::WStyle_DialogBorder | Qt::WDestructiveClose);

View File

@ -30,6 +30,8 @@
#include <qmessagebox.h>
#include <qtextstream.h>
#include <qfile.h>
#include <qdir.h>
#include <qfileinfo.h>
#include "spicefile.h"
#include "schematic.h"
@ -149,10 +151,19 @@ QString SpiceFile::netlist()
for(Port *pp = Ports.first(); pp != 0; pp = Ports.next())
s += " "+pp->Connection->Name; // output all node names
s += " Type=\""+properName(Props.first()->Value)+"\"\n";
QString f = properFileName(Props.first()->Value);
s += " Type=\""+properName(f)+"\"\n";
return s;
}
// -------------------------------------------------------
QString SpiceFile::getSubcircuitFile()
{
// construct full filename
QString FileName = Props.getFirst()->Value;
return properAbsFileName(FileName);
}
// -------------------------------------------------------------------------
bool SpiceFile::createSubNetlist(QTextStream *stream)
{
@ -166,17 +177,15 @@ bool SpiceFile::createSubNetlist(QTextStream *stream)
// check input and output file
QFile SpiceFile, ConvFile;
if(FileName.find(QDir::separator()) < 0) // add path ?
SpiceFile.setName(QucsWorkDir.path() + QDir::separator() + FileName);
else
SpiceFile.setName(FileName);
FileName = getSubcircuitFile();
SpiceFile.setName(FileName);
if(!SpiceFile.open(IO_ReadOnly)) {
ErrText += QObject::tr("ERROR: Cannot open SPICE file \"%1\".").
arg(FileName);
return false;
}
SpiceFile.close();
QString ConvName = SpiceFile.name() + ".netlist.txt";
QString ConvName = SpiceFile.name() + ".lst";
ConvFile.setName(ConvName);
QFileInfo Info(ConvName);
@ -186,16 +195,15 @@ bool SpiceFile::createSubNetlist(QTextStream *stream)
if(!ConvFile.open(IO_WriteOnly)) {
ErrText +=
QObject::tr("ERROR: Cannot save converted SPICE file \"%1\".").
arg(FileName + ".netlist.txt");
arg(FileName + ".lst");
return false;
}
outstream = stream;
filstream = new QTextStream(&ConvFile);
QString SpiceName = SpiceFile.name();
bool ret = recreateSubNetlist(&SpiceName, &FileName);
delete filstream;
ConvFile.close();
qDebug("recreated");
delete filstream;
return ret;
}
@ -203,13 +211,12 @@ bool SpiceFile::createSubNetlist(QTextStream *stream)
if(!ConvFile.open(IO_ReadOnly)) {
ErrText +=
QObject::tr("ERROR: Cannot open converted SPICE file \"%1\".").
arg(FileName + ".netlist.txt");
arg(FileName + ".lst");
return false;
}
QByteArray FileContent = ConvFile.readAll();
ConvFile.close();
stream->writeRawBytes(FileContent.data(), FileContent.size());
qDebug("stuffed");
return true;
}
@ -241,7 +248,8 @@ bool SpiceFile::recreateSubNetlist(QString *SpiceFile, QString *FileName)
// begin netlist text creation
if(makeSubcircuit) {
NetText += "\n.Def:" + properName(*FileName) + " ";
QString f = properFileName(*FileName);
NetText += "\n.Def:" + properName(f) + " ";
QString PortNames = Props.at(1)->Value;
PortNames.replace(',', ' ');
NetText += PortNames;
@ -265,7 +273,7 @@ bool SpiceFile::recreateSubNetlist(QString *SpiceFile, QString *FileName)
// waiting info dialog box
QMessageBox *MBox = new QMessageBox(QObject::tr("Info"),
QObject::tr("Converting ..."),
QObject::tr("Converting \"%1\".").arg(*SpiceFile),
QMessageBox::NoIcon, QMessageBox::Abort,
QMessageBox::NoButton, QMessageBox::NoButton, 0, 0, true,
Qt::WStyle_DialogBorder | Qt::WDestructiveClose);

View File

@ -38,6 +38,7 @@ public:
bool withSim;
bool createSubNetlist(QTextStream *);
QString getErrorText() { return ErrText; }
QString getSubcircuitFile();
private:
bool makeSubcircuit;

View File

@ -79,9 +79,7 @@ void Subcircuit::createSymbol()
{
int No;
QString FileName(Props.getFirst()->Value);
QFileInfo Info(FileName);
if(Info.isRelative())
FileName = QucsWorkDir.filePath(FileName);
FileName = getSubcircuitFile();
tx = INT_MIN;
ty = INT_MIN;
@ -204,7 +202,8 @@ QString Subcircuit::netlist()
s += " "+p1->Connection->Name; // node names
// type for subcircuit
s += " Type=\""+properName(Props.first()->Value)+"\"";
QString f = properFileName(Props.first()->Value);
s += " Type=\""+properName(f)+"\"";
// output all user defined properties
for(Property *pp = Props.next(); pp != 0; pp = Props.next())
@ -215,8 +214,8 @@ QString Subcircuit::netlist()
// -------------------------------------------------------
QString Subcircuit::vhdlCode(int)
{
QString s = " " + Name + ": entity Sub_" +
properName(Props.getFirst()->Value) + " port map (";
QString f = properFileName(Props.first()->Value);
QString s = " " + Name + ": entity Sub_" + properName(f) + " port map (";
// output all node names
Port *pp = Ports.first();
@ -231,8 +230,8 @@ QString Subcircuit::vhdlCode(int)
// -------------------------------------------------------
QString Subcircuit::verilogCode(int)
{
QString s = " Sub_" +
properName(Props.getFirst()->Value) + " " + Name + " (";
QString f = properFileName(Props.first()->Value);
QString s = " Sub_" + properName(f) + " " + Name + " (";
// output all node names
Port *pp = Ports.first();
@ -243,3 +242,11 @@ QString Subcircuit::verilogCode(int)
s += ");\n";
return s;
}
// -------------------------------------------------------
QString Subcircuit::getSubcircuitFile()
{
// construct full filename
QString FileName = Props.getFirst()->Value;
return properAbsFileName(FileName);
}

View File

@ -28,6 +28,8 @@ public:
Component* newOne();
static Element* info(QString&, char* &, bool getNewOne=false);
QString getSubcircuitFile();
protected:
QString netlist();
QString vhdlCode(int);

View File

@ -21,6 +21,8 @@
#include "schematic.h"
#include <qregexp.h>
#include <qdir.h>
#include <qfileinfo.h>
Verilog_File::Verilog_File()
@ -213,6 +215,14 @@ void Verilog_File::createSymbol()
ty = y2+4;
}
// -------------------------------------------------------
QString Verilog_File::getSubcircuitFile()
{
// construct full filename
QString FileName = Props.getFirst()->Value;
return properAbsFileName(FileName);
}
// -------------------------------------------------------
bool Verilog_File::createSubNetlist(QTextStream *stream)
{
@ -227,9 +237,7 @@ bool Verilog_File::createSubNetlist(QTextStream *stream)
}
// construct full filename
QFileInfo Info(FileName);
if(Info.isRelative())
FileName = QucsWorkDir.filePath(FileName);
FileName = getSubcircuitFile();
// open file for reading
QFile f(FileName);

View File

@ -30,6 +30,7 @@ public:
bool createSubNetlist(QTextStream *);
QString getErrorText() { return ErrText; }
QString getSubcircuitFile();
protected:
QString verilogCode(int);

View File

@ -21,6 +21,8 @@
#include "schematic.h"
#include <qregexp.h>
#include <qdir.h>
#include <qfileinfo.h>
VHDL_File::VHDL_File()
@ -221,6 +223,14 @@ void VHDL_File::createSymbol()
ty = y2+4;
}
// -------------------------------------------------------
QString VHDL_File::getSubcircuitFile()
{
// construct full filename
QString FileName = Props.getFirst()->Value;
return properAbsFileName(FileName);
}
// -------------------------------------------------------
bool VHDL_File::createSubNetlist(QTextStream *stream)
{
@ -235,9 +245,7 @@ bool VHDL_File::createSubNetlist(QTextStream *stream)
}
// construct full filename
QFileInfo Info(FileName);
if(Info.isRelative())
FileName = QucsWorkDir.filePath(FileName);
FileName = getSubcircuitFile();
// open file for reading
QFile f(FileName);

View File

@ -30,6 +30,7 @@ public:
bool createSubNetlist(QTextStream *);
QString getErrorText() { return ErrText; }
QString getSubcircuitFile();
protected:
QString vhdlCode(int);

View File

@ -30,6 +30,7 @@
#include <qlayout.h>
#include <qlineedit.h>
#include <qtextedit.h>
#include <qtextstream.h>
#include <qcheckbox.h>
#include <qlistview.h>
#include <qvalidator.h>
@ -131,7 +132,7 @@ void LibraryDialog::slotCreate()
}
QDir LibDir(QucsHomeDir);
LibDir = QDir(QucsHomeDir);
if(!LibDir.cd("user_lib")) { // user library directory exists ?
if(!LibDir.mkdir("user_lib")) { // no, then create it
QMessageBox::warning(this, tr("Warning"),
@ -172,6 +173,63 @@ void LibraryDialog::slotCreate()
ButtCreate->setText(tr("Create"));
}
// ---------------------------------------------------------------
void LibraryDialog::intoStream(QTextStream &Stream, QString &tmp,
const char *sec)
{
int i = tmp.find("TOP LEVEL MARK");
if(i >= 0) {
i = tmp.find('\n',i) + 1;
tmp = tmp.mid(i);
}
Stream << " <" << sec << ">";
Stream << tmp;
Stream << " </" << sec << ">\n";
}
// ---------------------------------------------------------------
int LibraryDialog::intoFile(QString &ifn, QString &ofn, QStringList &IFiles)
{
int error = 0;
QFile ifile(ifn);
if(!ifile.open(IO_ReadOnly)) {
ErrText->insert(QObject::tr("ERROR: Cannot open file \"%1\".\n").
arg(ifn));
error++;
}
else {
QByteArray FileContent = ifile.readAll();
ifile.close();
if(ifile.name().right(4) == ".lst")
LibDir.remove(ifile.name());
QDir LibDirSub(LibDir);
if(!LibDirSub.cd(NameEdit->text())) {
if(!LibDirSub.mkdir(NameEdit->text())) {
ErrText->insert(
QObject::tr("ERROR: Cannot create user library subdirectory !\n"));
error++;
}
LibDirSub.cd(NameEdit->text());
}
QFileInfo Info(ofn);
ofn = Info.fileName();
IFiles.append(ofn);
QFile ofile;
ofile.setName(LibDirSub.absFilePath(ofn));
if(!ofile.open(IO_WriteOnly)) {
ErrText->insert(
QObject::tr("ERROR: Cannot create file \"%1\".\n").arg(ofn));
error++;
}
else {
QTextStream ds(&ofile);
ds.writeRawBytes(FileContent.data(), FileContent.size());
ofile.close();
}
}
return error;
}
// ---------------------------------------------------------------
void LibraryDialog::slotNext()
{
@ -202,12 +260,11 @@ void LibraryDialog::slotNext()
}
QTextStream Stream;
Stream.setDevice(&LibFile);
Stream << "<Qucs Library " PACKAGE_VERSION " \"" << NameEdit->text() << "\">\n\n";
Stream << "<Qucs Library " PACKAGE_VERSION " \""
<< NameEdit->text() << "\">\n\n";
int countInit = 0;
bool Success = true, ret;
QStringList Collect;
QStringList::Iterator it = Descriptions.begin();
QString tmp;
QTextStream ts(&tmp, IO_WriteOnly);
@ -221,55 +278,97 @@ void LibraryDialog::slotNext()
Schematic *Doc = new Schematic(0, QucsWorkDir.filePath(p->text()));
if(!Doc->loadDocument()) { // load document if possible
delete Doc;
ErrText->append(tr("Error: Cannot load subcircuit \"%1\".").arg(p->text()));
ErrText->append(tr("Error: Cannot load subcircuit \"%1\".").
arg(p->text()));
break;
}
Doc->DocName = NameEdit->text() + "_" + p->text();
Success = false;
// save analog model
tmp = "";
countInit = 0;
Collect.clear();
StringList.clear();
ret = Doc->createSubNetlist(&ts, countInit, Collect, ErrText, -1);
tmp.truncate(0);
ret = Doc->createLibNetlist(&ts, ErrText, -1);
if(ret) {
Stream << " <Model>";
Stream << tmp;
Stream << " </Model>\n";
Success = true;
intoStream(Stream, tmp, "Model");
int error = 0;
QStringList IFiles;
for(QStringList::Iterator it = StringList.begin();
it != StringList.end(); ++it ) {
QString f = *it;
QString ifn, ofn;
if(f.find("SCH") == 0) {
ifn = f.mid(4) + ".lst";
ofn = ifn;
} else if(f.find("CIR") == 0) {
ifn = f.mid(4) + ".lst";
ofn = ifn;
}
error += intoFile(ifn, ofn, IFiles);
}
if(!IFiles.isEmpty()) {
Stream << " <ModelIncludes \"" << IFiles.join("\" \"") << "\">\n";
}
Success = error > 0 ? false : true;
} else {
ErrText->insert("\n");
}
// save verilog model
tmp = "";
countInit = 0;
Collect.clear();
StringList.clear();
tmp.truncate(0);
Doc->isVerilog = true;
ret = Doc->createSubNetlist(&ts, countInit, Collect, ErrText, 0);
ret = Doc->createLibNetlist(&ts, ErrText, 0);
if(ret) {
Stream << " <VerilogModel>";
Stream << tmp;
Stream << " </VerilogModel>\n";
Success = true;
intoStream(Stream, tmp, "VerilogModel");
int error = 0;
QStringList IFiles;
for(QStringList::Iterator it = StringList.begin();
it != StringList.end(); ++it ) {
QString f = *it;
QString ifn, ofn;
if(f.find("SCH") == 0) {
ifn = f.mid(4) + ".lst";
ofn = f.mid(4) + ".v";
} else if(f.find("VER") == 0) {
ifn = f.mid(4);
ofn = ifn;
}
error += intoFile(ifn, ofn, IFiles);
}
if(!IFiles.isEmpty()) {
Stream << " <VerilogModelIncludes \""
<< IFiles.join("\" \"") << "\">\n";
}
Success = error > 0 ? false : true;
} else {
ErrText->insert("\n");
}
// save vhdl model
tmp = "";
countInit = 0;
Collect.clear();
StringList.clear();
tmp.truncate(0);
Doc->isVerilog = false;
ret = Doc->createSubNetlist(&ts, countInit, Collect, ErrText, 0);
ret = Doc->createLibNetlist(&ts, ErrText, 0);
if(ret) {
Stream << " <VHDLModel>";
Stream << tmp;
Stream << " </VHDLModel>\n";
Success = true;
intoStream(Stream, tmp, "VHDLModel");
int error = 0;
QStringList IFiles;
for(QStringList::Iterator it = StringList.begin();
it != StringList.end(); ++it ) {
QString f = *it;
QString ifn, ofn;
if(f.find("SCH") == 0) {
ifn = f.mid(4) + ".lst";
ofn = f.mid(4) + ".vhdl";
} else if(f.find("VHD") == 0) {
ifn = f.mid(4);
ofn = ifn;
}
error += intoFile(ifn, ofn, IFiles);
}
if(!IFiles.isEmpty()) {
Stream << " <VHDLModelIncludes \""
<< IFiles.join("\" \"") << "\">\n";
}
Success = error > 0 ? false : true;
} else {
ErrText->insert("\n");
}

View File

@ -18,12 +18,13 @@
#ifndef LIBRARYDIALOG_H
#define LIBRARYDIALOG_H
#include <qfile.h>
#include <qdialog.h>
#include <qregexp.h>
#include <qptrlist.h>
#include <qstringlist.h>
#include <qcheckbox.h>
#include <qtextstream.h>
#include <qdialog.h>
#include <qfile.h>
#include <qdir.h>
class QLabel;
class QucsApp;
@ -47,6 +48,10 @@ private slots:
void slotCreate();
void slotNext();
private:
void intoStream(QTextStream&, QString&, const char*);
int intoFile(QString&, QString&, QStringList&);
private:
QVBoxLayout *all; // the mother of all widgets
QLabel *theLabel;
@ -59,6 +64,7 @@ private:
QucsApp *App;
QFile LibFile;
QDir LibDir;
QRegExp Expr;
QRegExpValidator *Validator;
};

View File

@ -359,9 +359,25 @@ void convert2ASCII(QString& Text)
}
}
// #########################################################################
QString properAbsFileName(const QString& Name)
{
QString s = Name;
QFileInfo Info(s);
if(Info.isRelative()) s = QucsWorkDir.filePath(s);
return QDir::cleanDirPath(s);
}
// #########################################################################
QString properFileName(const QString& Name)
{
QFileInfo Info(Name);
return Info.fileName();
}
// #########################################################################
// Takes a file name (with path) and replaces all special characters.
QString properName (const QString& Name)
QString properName(const QString& Name)
{
QString s = Name;
QFileInfo Info(s);

View File

@ -78,7 +78,9 @@ QString num2str (double);
QString StringNiceNum(double);
void convert2Unicode(QString&);
void convert2ASCII(QString&);
QString properName (const QString&);
QString properName(const QString&);
QString properAbsFileName(const QString&);
QString properFileName(const QString&);
bool VHDL_Time(QString&, const QString&);
bool Verilog_Time(QString&, const QString&);
bool checkVersion(QString&);

View File

@ -5131,7 +5131,30 @@ Use: qucsedit [-r] fitxer
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Convirtiendo...</translation>
<translation type="obsolete">Convirtiendo...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10118,6 +10141,10 @@ abrir els fitxers amb el programa apropiado.</translation>
<source>Revision:</source>
<translation>Revisión:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10521,7 +10548,7 @@ Errores:
</message>
<message>
<source>Converting ...</source>
<translation>Convirtiendo...</translation>
<translation type="obsolete">Convirtiendo...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -10543,6 +10570,10 @@ Errores:
<source>include SPICE simulations</source>
<translation>incluir simulaciones SPICE</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5349,7 +5349,30 @@ Použití: qucsedit [-r] soubor
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Konverze ...</translation>
<translation type="obsolete">Konverze ...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -12208,6 +12231,10 @@ soubory automaticky otevírat s odpovídajícími programy.</translation>
<source>Revision:</source>
<translation>Verze:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -12621,7 +12648,7 @@ Chyby:
</message>
<message>
<source>Converting ...</source>
<translation>Konverze ...</translation>
<translation type="obsolete">Konverze ...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -12643,6 +12670,10 @@ Chyby:
<source>include SPICE simulations</source>
<translation>zahrnout SPICE simulace</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5382,7 +5382,30 @@ Verwendung: qucsedit [-r] Datei
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Konvertiere ...</translation>
<translation type="obsolete">Konvertiere ...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -12249,6 +12272,10 @@ mit einem entsprechendem Programm zu assoziieren.</translation>
<source>Revision:</source>
<translation>Revision:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -12677,7 +12704,7 @@ Fehler:
</message>
<message>
<source>Converting ...</source>
<translation>Konvertiere ...</translation>
<translation type="obsolete">Konvertiere ...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -12699,6 +12726,10 @@ Fehler:
<source>include SPICE simulations</source>
<translation>SPICE-Simulationen mit einbeziehen</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5131,7 +5131,30 @@ Use: qucsedit [-r] archivo
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Convirtiendo...</translation>
<translation type="obsolete">Convirtiendo...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10118,6 +10141,10 @@ abrir los archivos con el programa apropiado.</translation>
<source>Revision:</source>
<translation>Revisión:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10521,7 +10548,7 @@ Errores:
</message>
<message>
<source>Converting ...</source>
<translation>Convirtiendo...</translation>
<translation type="obsolete">Convirtiendo...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -10543,6 +10570,10 @@ Errores:
<source>include SPICE simulations</source>
<translation>incluir simulaciones SPICE</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5159,7 +5159,30 @@ Invocation : qucsedit [-r] fichier
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Conversion</translation>
<translation type="obsolete">Conversion</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10370,6 +10393,10 @@ ouvrir ceux-ci avec le programme ad-hoc.</translation>
<source>Revision:</source>
<translation>Version :</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10767,7 +10794,7 @@ Erreurs :
</message>
<message>
<source>Converting ...</source>
<translation>Conversion</translation>
<translation type="obsolete">Conversion</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -10789,6 +10816,10 @@ Erreurs :
<source>include SPICE simulations</source>
<translation>inclure les simulations Spice</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5013,7 +5013,26 @@ Usage: qucsedit [-r] file
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting ...</source>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
@ -9727,6 +9746,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10113,10 +10136,6 @@ Errors:
<source>Info</source>
<translation type="unfinished">מידע</translation>
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>QucsConv Error</source>
<translation type="unfinished"></translation>
@ -10137,6 +10156,10 @@ Errors:
<source>include SPICE simulations</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5129,7 +5129,30 @@ Digitális szimuláció</translation>
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Konvetálás...</translation>
<translation type="obsolete">Konvetálás...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10260,6 +10283,10 @@ a fájlt a megfelelő program nyissa meg.</translation>
<source>Revision:</source>
<translation>Ellenőrizve:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10671,7 +10698,7 @@ Hibák:
</message>
<message>
<source>Converting ...</source>
<translation>Konvetálás...</translation>
<translation type="obsolete">Konvetálás...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -10693,6 +10720,10 @@ Hibák:
<source>include SPICE simulations</source>
<translation>belső SPICE szimuláció</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5301,7 +5301,30 @@ Usage: qucsedit [-r] file
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Conversione...</translation>
<translation type="obsolete">Conversione...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10731,6 +10754,10 @@ fine di aprirli utilizzando il programma appropriato.</translation>
<source>Revision:</source>
<translation>Versione:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -11134,7 +11161,7 @@ Errori:
</message>
<message>
<source>Converting ...</source>
<translation>Conversione...</translation>
<translation type="obsolete">Conversione...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -11156,6 +11183,10 @@ Errori:
<source>include SPICE simulations</source>
<translation>Includi simulazioni SPICE</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5084,7 +5084,30 @@ Usage: qucsedit [-r] file
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">...</translation>
<translation type="obsolete">...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -9947,6 +9970,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10361,7 +10388,7 @@ Errors:
</message>
<message>
<source>Converting ...</source>
<translation>...</translation>
<translation type="obsolete">...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -10383,6 +10410,10 @@ Errors:
<source>include SPICE simulations</source>
<translation>SPICEシミュレーションを含める</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5183,7 +5183,30 @@ Stosowanie: qucsedit [-r] plik
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Konwersja ...</translation>
<translation type="obsolete">Konwersja ...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -10177,6 +10200,10 @@ otworzyć ten plik odpowiednim programem.</translation>
<source>Revision:</source>
<translation>Rewizja:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10577,7 +10604,7 @@ Błędy:
</message>
<message>
<source>Converting ...</source>
<translation>Konwersja ...</translation>
<translation type="obsolete">Konwersja ...</translation>
</message>
<message>
<source>Error</source>
@ -10591,6 +10618,10 @@ Błędy:
<source>QucsConv Error</source>
<translation>Błąd QucsConv</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5021,7 +5021,26 @@ Usage: qucsedit [-r] file
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting ...</source>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
@ -8005,6 +8024,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -8333,10 +8356,6 @@ are included in the search.</source>
<source>Info</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Error</source>
<translation type="unfinished">Erro</translation>
@ -8349,6 +8368,10 @@ are included in the search.</source>
<source>QucsConv Error</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5097,7 +5097,26 @@ Folosire: qucsedit [-r] file
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting ...</source>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
@ -9819,6 +9838,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10213,10 +10236,6 @@ Erori:
<source>Info</source>
<translation type="unfinished">Info</translation>
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>QucsConv Error</source>
<translation type="unfinished"></translation>
@ -10237,6 +10256,10 @@ Erori:
<source>include SPICE simulations</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -5381,7 +5381,30 @@ Usage: qucsedit [-r] file
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Преобразование ...</translation>
<translation type="obsolete">Преобразование ...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -12230,6 +12253,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation>Версия:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -12654,7 +12681,7 @@ Errors:
</message>
<message>
<source>Converting ...</source>
<translation>Преобразование ...</translation>
<translation type="obsolete">Преобразование ...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -12676,6 +12703,10 @@ Errors:
<source>include SPICE simulations</source>
<translation>включить моделирования SPICE</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -4967,7 +4967,30 @@ Usage: qucsedit [-r] file
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Omvandlar...</translation>
<translation type="obsolete">Omvandlar...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -9881,6 +9904,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10284,7 +10311,7 @@ Fel:
</message>
<message>
<source>Converting ...</source>
<translation>Omvandlar...</translation>
<translation type="obsolete">Omvandlar...</translation>
</message>
<message>
<source>Error</source>
@ -10298,6 +10325,10 @@ Fel:
<source>QucsConv Error</source>
<translation>QucsConv-fel</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -4977,7 +4977,30 @@ Kullanım: qucsedit [-r] kütük
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Çevriliyor ...</translation>
<translation type="obsolete">Çevriliyor ...</translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
@ -9891,6 +9914,10 @@ uygun bir uygulama ile açılabilsinler.</translation>
<source>Revision:</source>
<translation>Düzeltme:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -10299,7 +10326,7 @@ Hatalar:
</message>
<message>
<source>Converting ...</source>
<translation>Çevriliyor ...</translation>
<translation type="obsolete">Çevriliyor ...</translation>
</message>
<message>
<source>Error</source>
@ -10313,6 +10340,10 @@ Hatalar:
<source>QucsConv Error</source>
<translation>QucsConv Hatası</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -4900,7 +4900,7 @@ Usage: qucsedit [-r] file
</message>
<message>
<source>Converting ...</source>
<translation type="unfinished">Конвертація ...</translation>
<translation type="obsolete">Конвертація ...</translation>
</message>
<message>
<source>Verilog file</source>
@ -4977,6 +4977,29 @@ Usage: qucsedit [-r] file
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot open file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create user library subdirectory !
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>ERROR: Cannot create file &quot;%1&quot;.
</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>WARNING: Skipping library component &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>QucsApp</name>
@ -8512,6 +8535,10 @@ open files with an appropriate program.</source>
<source>Revision:</source>
<translation>Версія:</translation>
</message>
<message>
<source>ERROR: Cannot create library file &quot;%s&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SearchDialog</name>
@ -8892,7 +8919,7 @@ Errors:
</message>
<message>
<source>Converting ...</source>
<translation>Конвертація ...</translation>
<translation type="obsolete">Конвертація ...</translation>
</message>
<message>
<source>QucsConv Error</source>
@ -8914,6 +8941,10 @@ Errors:
<source>include SPICE simulations</source>
<translation>включити моделювання SPICE</translation>
</message>
<message>
<source>Converting &quot;%1&quot;.</source>
<translation type="unfinished"></translation>
</message>
</context>
<context>
<name>SweepDialog</name>

View File

@ -81,6 +81,8 @@ Schematic::Schematic(QucsApp *App_, const QString& Name_)
UndoStack.append(new QString(" i\n</>\n</>\n</>\n</>\n"));
UndoSymbol.append(new QString(" i\n</>\n</>\n</>\n</>\n"));
isVerilog = false;
creatingLib = false;
QFileInfo Info(Name_);
if(App) {
if(Name_.isEmpty())

View File

@ -206,7 +206,9 @@ private:
******************************************************************** */
public:
bool createLibNetlist(QTextStream*, QTextEdit*, int);
bool createSubNetlist(QTextStream*, int&, QStringList&, QTextEdit*, int);
void createSubNetlistPlain(QTextStream*, QTextEdit*, int);
int prepareNetlist(QTextStream&, QStringList&, QTextEdit*);
QString createNetlist(QTextStream&, int);
bool loadDocument();
@ -239,6 +241,7 @@ private:
public:
bool isVerilog;
bool creatingLib;
};
#endif

View File

@ -849,11 +849,12 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
}
if(pc->Model == "Sub") {
s = pc->Props.getFirst()->Value;
if(StringList.findIndex(s) >= 0)
QString f = "SCH:"+pc->getSubcircuitFile();
if(StringList.findIndex(f) >= 0)
continue; // insert each subcircuit just one time
StringList.append(f);
StringList.append(s);
s = pc->Props.first()->Value;
Schematic *d = new Schematic(0, QucsWorkDir.filePath(s));
if(!d->loadDocument()) { // load document if possible
delete d;
@ -862,6 +863,7 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
}
d->DocName = s;
d->isVerilog = isVerilog;
d->creatingLib = creatingLib;
r = d->createSubNetlist(stream, countInit, Collect, ErrText, NumPorts);
delete d;
if(!r) return false;
@ -869,19 +871,25 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
}
if(pc->Model == "Lib") {
s = pc->Props.first()->Value + "_";
s += pc->Props.next()->Value;
if(creatingLib) {
ErrText->insert(
QObject::tr("WARNING: Skipping library component \"%1\".").
arg(pc->Name));
continue;
}
s = "LIB:" + pc->getSubcircuitFile();
s += "/" + pc->Props.next()->Value;
if(StringList.findIndex(s) >= 0)
continue; // insert each subcircuit just one time
StringList.append(s);
if(NumPorts < 0)
r = ((LibComp*)pc)->createSubNetlist(stream);
r = ((LibComp*)pc)->createSubNetlist(stream, StringList, 1);
else {
if(isVerilog)
r = ((LibComp*)pc)->createSubNetlist_Verilog(stream);
r = ((LibComp*)pc)->createSubNetlist(stream, StringList, 4);
else
r = ((LibComp*)pc)->createSubNetlist_VHDL(stream);
r = ((LibComp*)pc)->createSubNetlist(stream, StringList, 2);
}
if(!r) {
ErrText->insert(
@ -899,21 +907,22 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
arg(pc->Name));
return false;
}
if(StringList.findIndex(s) >= 0)
QString f = "CIR:"+pc->getSubcircuitFile();
if(StringList.findIndex(f) >= 0)
continue; // insert each spice component just one time
StringList.append(f);
StringList.append(s);
#if 0
s += '"'+pc->Props.next()->Value;
if(pc->Props.next()->Value == "yes") s = "SPICE \""+s;
else s = "SPICEo\""+s;
Collect.append(s);
#else
#endif
SpiceFile *sf = (SpiceFile*)pc;
r = sf->createSubNetlist(stream);
ErrText->insert(sf->getErrorText());
if(!r) return false;
#endif
continue;
}
@ -929,29 +938,12 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
arg(pc->Name));
return false;
}
if(StringList.findIndex(s) >= 0)
QString f = pc->getSubcircuitFile();
f = ((pc->Model == "VHDL") ? "VHD:" : "VER:") + f;
if(StringList.findIndex(f) >= 0)
continue; // insert each vhdl/verilog component just one time
StringList.append(s);
StringList.append(f);
#if 0
QFileInfo Info(s);
if(Info.isRelative())
s = QucsWorkDir.filePath(s);
QFile f(s);
if(!f.open(IO_ReadOnly)) {
ErrText->insert(
QObject::tr("ERROR: Cannot open %1 file \"%2\".").
arg(pc->Model).arg(s));
return false;
}
// Write the whole VHDL file into the netlist output.
QTextStream streamVHDL(&f);
s = streamVHDL.read();
f.close();
(*stream) << '\n' << s << '\n';
#else
if(pc->Model == "VHDL") {
VHDL_File *vf = (VHDL_File*)pc;
r = vf->createSubNetlist(stream);
@ -964,7 +956,6 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
ErrText->insert(vf->getErrorText());
if(!r) return false;
}
#endif
continue;
}
}
@ -980,31 +971,64 @@ bool Schematic::giveNodeNames(QTextStream *stream, int& countInit,
}
// ---------------------------------------------------
// Write the netlist as subcircuit to the text stream 'NetlistFile'.
bool Schematic::createSubNetlist(QTextStream *stream, int& countInit,
QStringList& Collect, QTextEdit *ErrText, int NumPorts)
bool Schematic::createLibNetlist(QTextStream *stream, QTextEdit *ErrText,
int NumPorts)
{
int countInit = 0;
QStringList Collect;
Collect.clear();
StringList.clear();
// Apply node names and collect subcircuits and file include
creatingLib = true;
if(!giveNodeNames(stream, countInit, Collect, ErrText, NumPorts)) {
creatingLib = false;
return false;
}
creatingLib = false;
// Marking start of actual top-level subcircuit
QString c;
if(NumPorts >= 0) {
if (isVerilog)
c = "///";
else
c = "---";
}
else c = "###";
(*stream) << "\n" << c << " TOP LEVEL MARK " << c << "\n";
// Emit subcircuit components
createSubNetlistPlain(stream, ErrText, NumPorts);
return true;
}
// ---------------------------------------------------
void Schematic::createSubNetlistPlain(QTextStream *stream, QTextEdit *ErrText,
int NumPorts)
{
int i, z;
// int Collect_count = Collect.count(); // position for this subcircuit
QString s;
// TODO: NodeSets have to be put into the subcircuit block.
if(!giveNodeNames(stream, countInit, Collect, ErrText, NumPorts))
return false;
/* Example for TODO
for(it = Collect.at(Collect_count); it != Collect.end(); )
if((*it).left(4) == "use ") { // output all subcircuit uses
(*stream) << (*it);
it = Collect.remove(it);
}
else it++;*/
QStringList SubcircuitPorts;
QStringList InPorts;
QStringList OutPorts;
QStringList InOutPorts;
QStringList::Iterator it;
Component *pc;
// probably creating a library currently
QTextStream * tstream = stream;
QFile ofile;
if(creatingLib) {
QString f = properAbsFileName(DocName) + ".lst";
ofile.setName(f);
if(!ofile.open(IO_WriteOnly)) {
ErrText->insert(tr("ERROR: Cannot create library file \"%s\".").arg(f));
return;
}
tstream = new QTextStream(&ofile);
}
// collect subcircuit ports and sort their node names into "SubcircuitPorts"
for(pc = DocComps.first(); pc != 0; pc = DocComps.next()) {
if(pc->Model.at(0) == '.') { // no simulations in subcircuits
@ -1048,80 +1072,110 @@ bool Schematic::createSubNetlist(QTextStream *stream, int& countInit,
}
}
QString Type = properName(DocName);
QString f = properFileName(DocName);
QString Type = properName(f);
Painting *pi;
if(NumPorts < 0) {
// ..... analog subcircuit ...................................
(*stream) << "\n.Def:" << Type << " " << SubcircuitPorts.join(" ");
(*tstream) << "\n.Def:" << Type << " " << SubcircuitPorts.join(" ");
for(pi = SymbolPaints.first(); pi != 0; pi = SymbolPaints.next())
if(pi->Name == ".ID ") {
SubParameter *pp;
ID_Text *pid = (ID_Text*)pi;
for(pp = pid->Parameter.first(); pp != 0; pp = pid->Parameter.next()) {
s = pp->Name; // keep 'Name' unchanged
(*stream) << " " << s.replace("=", "=\"") << '"';
(*tstream) << " " << s.replace("=", "=\"") << '"';
}
break;
}
(*stream) << '\n';
(*tstream) << '\n';
// write all components with node names into netlist file
for(pc = DocComps.first(); pc != 0; pc = DocComps.next())
(*stream) << pc->getNetlist();
(*tstream) << pc->getNetlist();
(*stream) << ".Def:End\n";
(*tstream) << ".Def:End\n";
}
else {
if (isVerilog) {
// ..... digital subcircuit ...................................
(*stream) << "\nmodule Sub_" << Type << " ("
<< SubcircuitPorts.join(", ") << ");\n";
(*tstream) << "\nmodule Sub_" << Type << " ("
<< SubcircuitPorts.join(", ") << ");\n";
if(!InPorts.isEmpty())
(*stream) << " input " << InPorts.join(", ") << ";\n";
(*tstream) << " input " << InPorts.join(", ") << ";\n";
if(!OutPorts.isEmpty())
(*stream) << " output " << OutPorts.join(", ") << ";\n";
(*tstream) << " output " << OutPorts.join(", ") << ";\n";
if(!InOutPorts.isEmpty())
(*stream) << " inout " << InOutPorts.join(", ") << ";\n";
(*tstream) << " inout " << InOutPorts.join(", ") << ";\n";
if(!Signals.isEmpty())
(*stream) << " wire " << Signals.join(",\n ")
<< ";\n";
(*stream) << "\n";
(*tstream) << " wire " << Signals.join(",\n ")
<< ";\n";
(*tstream) << "\n";
if(Signals.findIndex("gnd") >= 0)
(*stream) << " assign gnd = 0;\n"; // should appear only once
(*tstream) << " assign gnd = 0;\n"; // should appear only once
// write all components into netlist file
for(pc = DocComps.first(); pc != 0; pc = DocComps.next())
(*stream) << pc->get_Verilog_Code(NumPorts);
(*tstream) << pc->get_Verilog_Code(NumPorts);
(*stream) << "endmodule\n\n";
(*tstream) << "endmodule\n";
} else {
// ..... digital subcircuit ...................................
(*stream) << "\nentity Sub_" << Type << " is\n"
<< " port (" << SubcircuitPorts.join(";\n ") << ");\n"
<< "end entity;\n"
<< "use work.all;\n"
<< "architecture Arch_Sub_" << Type << " of Sub_" << Type
<< " is\n";
(*tstream) << "\nentity Sub_" << Type << " is\n"
<< " port (" << SubcircuitPorts.join(";\n ") << ");\n"
<< "end entity;\n"
<< "use work.all;\n"
<< "architecture Arch_Sub_" << Type << " of Sub_" << Type
<< " is\n";
if(!Signals.isEmpty())
(*stream) << " signal " << Signals.join(",\n ")
<< " : bit;\n";
(*tstream) << " signal " << Signals.join(",\n ")
<< " : bit;\n";
(*stream) << "begin\n";
(*tstream) << "begin\n";
if(Signals.findIndex("gnd") >= 0)
(*stream) << " gnd <= '0';\n"; // should appear only once
(*tstream) << " gnd <= '0';\n"; // should appear only once
// write all components into netlist file
for(pc = DocComps.first(); pc != 0; pc = DocComps.next())
(*stream) << pc->get_VHDL_Code(NumPorts);
(*tstream) << pc->get_VHDL_Code(NumPorts);
(*stream) << "end architecture;\n\n";
(*tstream) << "end architecture;\n";
}
}
// close file
if(creatingLib) {
delete tstream;
ofile.close();
}
}
// ---------------------------------------------------
// Write the netlist as subcircuit to the text stream 'stream'.
bool Schematic::createSubNetlist(QTextStream *stream, int& countInit,
QStringList& Collect, QTextEdit *ErrText, int NumPorts)
{
// int Collect_count = Collect.count(); // position for this subcircuit
// TODO: NodeSets have to be put into the subcircuit block.
if(!giveNodeNames(stream, countInit, Collect, ErrText, NumPorts))
return false;
/* Example for TODO
for(it = Collect.at(Collect_count); it != Collect.end(); )
if((*it).left(4) == "use ") { // output all subcircuit uses
(*stream) << (*it);
it = Collect.remove(it);
}
else it++;*/
// Emit subcircuit components
createSubNetlistPlain(stream, ErrText, NumPorts);
Signals.clear(); // was filled in "giveNodeNames()"
return true;
}